Платим блогерам
Редакция
Новости Hardware GreenCo
Уплотняемся!

реклама

Целый ряд докладов на конференции Hot Chips 2017 показал крайнюю заинтересованность производителей полупроводников и разработчиков в многочиповых упаковках. Точнее, речь идёт не о традиционной многокристальной упаковке одинаковых кристаллов, как, например, в случае процессоров AMD EPYC, а об упаковке разнородных кристаллов, связанных между собой высокоскоростным интерфейсом. Последнее позволяет значительно повысить плотность и сложность решений, чего нельзя достичь в случае объединения на плате дискретных компонентов.

Надежды разработчиков связаны с ожидаемой стандартизацией так называемых chiplets (по-англ. стружка). Тонкие кристаллы для модульных сборок на общей подложке должны получить статус IP-решений — лицензируемых для разработки унифицированных блоков, монтаж, производство и сборка которых будут максимально автоматизированы. Этим сегодня занимается группа компаний в рамках проекта Common Heterogeneous Integration and IP Reuse Strategies (CHIPS) агентства Defense Advanced Research Projects Agency. Результирующий стандарт должен стать открытым и он будет противопоставлен сегодняшним проприетарным технологиям TSMC CoWoS, Intel EMIB и другим. Результат разработки должны огласить не позднее, чем через восемь месяцев. Посмотрим.

реклама

Пока общего стандарта нет, свои подходы активно продвигают компании Intel и TSMC. Компания TSMC использует монтаж CoWoS 2.5D (Chip-on-Wafer-on-Substrate) для сборки GPU AMD и NVIDIA с памятью HBM и для упаковки SoC Apple с микросхемами памяти. С помощью этой же упаковки выпускаются FPGA-матрицы Xilinx с интегрированной на подложку памятью. Для массового пользователя пока это дорогое удовольствие и, к тому же, процессоры Intel и AMD пока невозможно выпустить в подобном виде. Также от упаковки CoWoS отказалась компания Microsoft, когда проектировала новый процессор для Xbox One X. Дорого.

Удешевлённой многокристальной упаковкой обещала стать технология EMIB компании Intel, предложенная ещё в 2014 году (Embedded Multi-die Interconnect Bridge). Она не использует сквозных TSVs-соединений, как в случае предложения TSMC, и опирается на простой мост из подложки. С помощью EMIB компания Intel выпускает FPGA Stratix (Altera) с интегрированными приёмопередатчиками и памятью. Для этого в компании Intel предложили два интерфейса: для памяти HBM цифровой UIB, для RF и других блоков — аналоговый AIB. Упаковка EMIB доступна на трёх заводах Intel для шести разных техпроцессов. В рамках сборки предлагается до 20 000 линков с пропускной способностью до 2 Гбит/с. По словам ведущего архитектора FPGA-группы Intel, Сергея Шкараева (выпускник ХАИ), упаковка EMIB позволяет создать комплексный чип в шесть раз большего размера, чем упаковка 2.5D.

В то же время и Intel и TSMC отмечают ряд ограничений, присущих многокристальным упаковкам. Так, процесс CoWoS не допускает нагрев чипов при монтаже на подложку свыше 95 градусов по Цельсию. Это ограничение вызвано использованием стека в виде памяти HBM, каждый нижний слой которой оказывается горячее на 2 градуса. При этом Intel, например, выдвигает крайне жёсткие требования к поставщикам кристаллов для упаковки EMIB. Один неисправный кристалл сведёт на нет всю экономию, которой ждут от многокристальных упаковок.

Показать комментарии (6)

Популярные статьи

Сейчас обсуждают